+7 (812) 670-9095
Обратная связьEnglish
Главная → О компании → Новости → Новости системного ПО
RSSВерсия для печати

Инструменты разработки и верификации Synopsys ускоряют запуск в производство Cortex-A75, Cortex-A55 и Mali-G72 компании ARM

Synopsys, 9 июня 2017

Synopsys заявила о результативном сотрудничестве с ARM над IP-ориентированными приложениями искусственного интеллекта, включая CPU Cortex®-A75 с технологией ARM DynamIQ, Cortex-A55 и GPU Mali-G72, и успешном запуске их в производство с использованием технологии FinFET, используемой платформой разработки и верификации Continuum. Также в рамках сотрудничества были разработаны комплекты инструментов внедрения QuickStart (QIKs), использующие новые функции платформы Synopsys Design Platform, для более быстрого достижения разработчиками целевых характеристик ИС по мощности, производительности и области применения посредством новых CPU компании ARM на базе стандартных ядер Artisan, памяти и POP IP. Первые пользователи Cortex-A75, Cortex-A55 и Mali-G72 уже успешно внедряют их в производство благодаря Design Platform Synopsys со средствами Design Compiler Graphical, IC Compiler II и PrimeTime.

QIKs и сервисы включают в себя базовые реализации (план уровней и оптимизированные скрипты), руководство и специализированные сервисы для ускорения разработки однокристальных систем.

Платформа Continuum, успешно используемая первыми пользователями мобильной премиум-платформы, содержит такие сервисы:

  • эмуляцию ZeBu,
  • прототипирование HAPS,
  • виртуальное прототипирование Virtualizer VC,
  • verification IP с поддержкой DynamIQ и взаимодействием по ARM AMBA.

Synopsys и ARM разработали QIKs для достижения превосходных показателей производительности, для создания однокластерных разработок с новыми возможностями и получения максимальной гибкости для многоядерных процессов, используя следующие сервисы платформы разработки Synopsys:

  • физическое руководство Synopsys (SPG), предварительная автоматическая кластеризация размещения, группы автоматических путей и настройка в Design Compiler Graphical® and IC Compiler II,
  • расширение уровня для критических сетей в Design Compiler Graphical, обеспечивающее узконаправленную оптимизацию в необходимых сетях в самом начале разработки,
  • оптимизация синхронизации времени и данных (CCD) в IC Compiler со способностью экономить время, занимаемое место и потребляемую мощность с помощью нового мультиобъектного движка на основе программы решения задач,
  • параметрический анализ изменений параметров на кристалле, включая PrimeTime® для разработок изделий с ультранизким уровнем напряжения,
  • многопараметрический и многорежимный анализ осуществляется по всем направлениям.

Источник: https://news.synopsys.com/2017-05-28-Synopsys-Design-and-Verification-Tools-Enable-Successful-Tape-outs-by-Early-Adopters-of-New-ARM-Cortex-A75-Cortex-A55-and-Mali-G72-Cores